KLA-Tencor Corporation - Company Profile, Information, Business Description, History, Background Information on KLA-Tencor Corporation



160 Rio Robles
P.O. Box 49055
San Jose, California 95161-9055
U.S.A.

Company Perspectives:

KLA-Tencor is committed to meeting the microelectronics industry's need for extremely sophisticated and expertly implemented process control and yield management solutions across the fab. To fulfill this charter, the company has focused on providing a single and comprehensive resource for the full breadth of yield management products and services. Customers benefit from the simplified planning and coordination, as well as the increased equipment compatibility found when dealing with a single supplier.

History of KLA-Tencor Corporation

KLA-Tencor Corporation is the global leader in the design, manufacture, and marketing of yield management and process control systems, which are used to reduce defects in semiconductors and related microelectronics. The company's products, software, and services enable semiconductor manufacturers to analyze the various stages of chip manufacture, measure the microscopic layers of the chips, and provide the necessary feedback to correct errors. KLA-Tencor's strongest sales were in Europe and Japan in 2001; only one-third of its products were sold domestically. Although its market niche is relatively small, KLA-Tencor dominates the industry.

Early History

KLA was founded in 1976 by Kenneth Levy and Robert R. Anderson, entrepreneurs and pioneers in California's blossoming Silicon Valley. Levy and Anderson believed that they could use their knowledge of relatively new image processing technologies, as well as their marketing knowhow, to open up completely new segments in the semiconductor industry. During the middle and late 1970s, they went to work developing a first-generation manufacturing inspection system that could be used to improve the chip-making process.

During the semiconductor manufacturing process, multiple layers of material are grown or deposited on the surface of a thin wafer. The wafer is typically composed of silicon or gallium arsenide and is five to eight inches in diameter. A four-step procedure is generally followed: 1) deposition of film on the wafer; 2) impurity doping, when impurities are introduced that control conductivity; 3) lithographic patterning, which creates the geometric features and layout of the circuit; and 4) etching, which removes the film coating material to reveal the layout patterned in the lithographic process. These steps may be repeated numerous times, depending on the complexity of the device, before the semiconductor is separated into individual integrated circuits, or chips. Before the chips are assembled and packaged, a variety of tests may be conducted to weed out defective circuits.

In some cases, fewer than 50 percent (and sometimes fewer than 10 percent for more advanced chips) of the manufactured semiconductors are usable, making the production process for some types of chips extremely expensive and time-consuming. As chips increased in layer number and became smaller and more intricate during the 1970s, moreover, the defect detection problem escalated. Bell and Levy hoped to tap a side of the industry that remained ignored, in large part, even by the late 1970s: inspection equipment that would make defects easier to find and improve chip "yields," thus reducing unnecessary manufacturing costs. The basic concept behind their efforts was to combine advanced optical technology with custom, high-speed digital electronics and proprietary software to replace conventional, rudimentary inspection systems that relied on the human eye and relatively low-tech visual aids.

When Levy and Anderson started KLA, the chip industry was still in its infancy. Bell Laboratories had introduced the solid-state transistor in 1947, but a significant demand for chips had not emerged until the 1960s. Commercial production of semiconductors did not begin on a significant scale until the 1970s. Of importance, Intel Corporation's introduction of the memory integrated circuit in 1971 spawned a plethora of opportunities in the U.S. semiconductor industry, resulting in healthy growth during the middle and late 1970s. That growth also spawned a demand for various complementary technologies, such as plasma etching and optical/image processing, the latter of which was KLA's forte.

KLA's first product was its reticle inspection system, named RAPID, which was introduced in the late 1970s. RAPID utilized advanced optical and image processing technology to test the "stencils" used to print circuit designs onto silicon wafers. Because a defective reticle, or template, can result in millions of ruined die, the system provided an important first step in ensuring high chip yields. RAPID was the first system of its kind to enter the market and was quickly accepted by the semiconductor industry. KLA's RAPID 210e series became the foundation on which KLA built its succeeding product lines. KLA went public in 1980, selling stock to raise cash for marketing its RAPID systems and to generate research and development funds to create new products.

1980s: New Customers and New Products

KLA benefited from a ripe U.S. semiconductor manufacturing industry during the early 1980s, its sales leaping past $60 million by the middle of the decade. Domestic demand, however, began to sputter in the mid-1980s. Although the overall demand for chip-making equipment continued to increase, U.S. producers experienced continually rising pressure from efficient Japanese firms that were dominating the market for high-volume, commodity-like chip manufacturing systems. In fact, Japan increased its share of the world chip machine market from almost nothing in the late 1970s to nearly 50 percent by the late 1980s; U.S. producers supplied most of the remainder of demand. Fortunately for KLA, its systems enjoyed a paucity of competition, allowing it to expand internationally to pull up slack in domestic growth. By 1984, KLA was garnering 22 percent of its sales from Japan and Europe. That figure jumped to more than 40 percent by 1987.

Also bolstering KLA's growth during the mid-1980s was its introduction of the KLA 2000 series in 1984. The 2000 was an automated wafer inspection system, called WISARD, which found defects in wafers and looked for circuitry errors after the reticle pattern had been projected onto the wafer. KLA's WISARD systems represented the second step in ensuring high chip yields. Again, KLA's entry into the market niche was essentially uncontested, allowing it to enjoy almost immediate acceptance by the industry. As sales of 210e and 2000 systems increased, KLA's revenues steadily climbed to $82.5 million in 1986, $88 million in 1987, and then to a healthy $113 million in 1988, $8.8 million of which was netted as income. Overseas shipments accounted for the lion's share of those gains.

In addition to its WISARD and RAPID lines, KLA introduced automatic test equipment in the late 1980s, which represented the third stage of the inspection process. Its most important product in that category was its wafer probing system, a device that electrically tested completed chips before they were diced and packaged. KLA developed the wafer probe with Tokyo Electronic Corp. (TEL). Another important line of test equipment was KLA's emission microscope, which was used to discover electrical "leakage" between layers of a chip. Although KLA faced competition in the automatic test equipment market, primarily from General Signal Corp., its products were well received and accounted for about 30 percent of KLA's revenues by 1990--WISARD and RAPID each also accounted for about one-third of aggregate sales.

By 1990, KLA's revenues had increased to a whopping $161 million, about $9.5 million of which was net income. In contrast, however, the innovator's stock price had steadily slipped during the late 1980s, reflecting the investment market's lack of faith in KLA. The company's critics cited lagging profit growth and generally poor overall performance compared with earlier growth projections. In fact, KLA did suffer during the semiconductor industry downturn of the late 1980s--it cut its workforce by about 4 percent and implemented salary cuts of up to 15 percent for the company's officers. It also had fallen short in achieving some of its own stated objectives.

On the other hand, KLA was relatively well positioned to take advantage of emerging trends in the chip industry going into the early 1990s. It already controlled about 70 percent of the wafer inspection equipment market and approximately 80 percent of the reticle inspection business. In addition, semiconductors were becoming increasingly complex, pushing the need for automated, high-tech devices that could detect even the most minuscule flaws. Furthermore, Levy and Anderson during the late 1980s had recognized the need for a new type of "in-line" monitoring equipment, which could be integrated as a step in the manufacturing process and provide immediate detection of defects, rather than having to test off-line and wait for results. To exploit the market potential for in-line systems, KLA had made hefty investments in research and development during the late 1980s to improve its existing products and create new equipment lines.



More R&D Investment in the Early 1990s

In the early 1990s, the U.S. semiconductor industry began to rebound, reflecting its newfound productivity and an emphasis on cutting-edge semiconductor manufacturing technologies. As the market picked up, KLA began to introduce the products on which it had been working since the late 1980s, a few of which it had intended to start selling before 1990. Most important, in October 1990 KLA unveiled its second-generation wafer inspection systems, the 2100 series. The new systems, which were designed with in-line capabilities, offered greater sensitivity to defects and operated more than 100 times faster than the popular 2000 series. New and improved systems were added to the 2100 line during the early 1990s, resulting in more than 140 orders for 2100 systems by 1993. 2100 systems sold for $1 million to $2 million apiece.

In 1992, KLA updated its RAPID systems with the 300 series, which combined a reticle inspection system with a computer (the 30 Reference Data Computer) to form the KLA 331. The 331 offered the highest inspection sensitivity available in the world and provided numerous speed and flexibility improvements over the original 210e series. It also was designed for potential in-line use. Although initial sales of the new 331 systems were slow because of glitches in bringing them to market, KLA had shipped a total of 700 RAPID systems worldwide by 1993, including deliveries of its first generation systems. The 331 systems sold for $1.7 million to $2.6 million, depending on the options added.

In addition to updating its core WISARD and RAPID product lines, KLA also introduced important new products in its other testing categories. It made improvements to the KLA 5000 series, for example, which was used to increase the yield and performance of final integrated circuit devices. The 5000 series, or metrology line, sold for $300,000 to $550,000 per unit. Similarly, KLA's new SEMspec division brought out its new electron beam imaging systems, which offered improved sensitivity and measuring prowess compared with conventional laser optical systems. KLA also initiated KLA Acrotec Ltd. in the early 1990s, a venture with a Japanese company that used proprietary KLA technology to produce flat-panel displays, such as those utilized by portable computers.

To position itself for expansion in the wake of new product introductions, KLA restructured during the early 1990s. Levy, who had served as president and chief executive of KLA since he had founded it, moved to chairman and allowed Kenneth L. Schroeder to assume control of the company's day-to-day operations. Anderson ceded his position as chief financial officer and was effectively retired from KLA going into the mid-1990s. In 1992, Schroeder reorganized KLA into five operating segments: WRInG, which combined the WISARD and RAPID divisions; the Automated Test Systems Division; the Watcher Division, which encompassed new image processing systems that utilized advanced optical character recognition technology; the Metrology Division; the Customer Service Division; and the SEMSpec Division. He also cut 7 percent of the 1,100 member global workforce and jettisoned KLA's emission microscopy business.

By the early 1990s, KLA was garnering about 60 percent of its total revenues from overseas sales. One of its most important markets, in fact, was Japan, where demand for yield management equipment was particularly strong. When the U.S. semiconductor industry emerged from its doldrums during the early 1990s, the Japanese industry suffered, as did KLA. Its sales to Japan dropped 9 percent between 1991 and 1993, whereas U.S. shipments climbed only 7 percent. So, although KLA's new systems were well received by the industry, its shipment growth was hindered by a serious downturn in Japan. In addition, delays in bringing some of its new systems to market, particularly the KLA 331, created a temporary dip in order volume. As a result, KLA's total revenues slipped about 8 percent in 1991, to $148 million, before bobbing up to $155 million in 1992 and $167 million in 1993.

KLA continued to pour cash into research and development during the early 1990s in an effort to get its new products to market. In fact, it spent a fat $64 million during 1991 and 1992 (22 percent of revenues). As a result, profits bottomed out in 1992 as KLA posted a depressing $14 million loss. By 1993, however, its major product introductions were almost complete, and the company was able to cut development costs to $24 million (14 percent of sales). Net income climbed to about $7 million.

The real payoff for KLA's hard work during the late 1980s and early 1990s began to occur in 1994. Sales began to rocket skyward early in that year as the global semiconductor industry improved and KLA's new products began to achieve widespread appeal. Significantly, the industry began showing a strong interest in KLA's in-line defect monitoring concept. As it entered the mid-1990s, KLA was ready to capitalize on the industry niche that it controlled and had helped to create. Besides favorable industry and market trends, KLA's strong cash position and paltry debt load would contribute to the company's continued dominance.

The 1997 KLA-Tencor Merger

Well positioned to take advantage of its market's growth, KLA expanded its sales at a healthy rate over the next couple of years. By 1997, the company's revenues approached $600 million. That year, KLA agreed to merge with Tencor Instruments, Inc., in a one-to-one stock swap valued at $1.3 billion. The two companies originally had agreed to merge in 1992 but broke off their agreement early in 1993.

Tencor Instruments was founded in 1976, the same year as KLA. Although they both produced equipment to test semiconductors during manufacture, their product lines focused on different segments of semiconductor production. Tencor's first product, the Alpha-Step stylus surface profiler, improved customers' measurement of set height measurement, a key parameter in measuring film layer thickness. In the early 1980s Tencor developed a particle and contamination detection system. Introduced in 1984, the system was based on laser scanning technology; its success made it one of the company's core products. Tencor continued to invest in research and development, adding defect review and data analysis tools to its product line in the early 1990s.

In 1992, the company had revenues of $57.7 million. Two days after the aborted merger with KLA in January 1993, Tencor announced plans for an initial public offering of stock. With the influx of cash from the IPO, Tencor continued down its path of expansion. In 1994, the company acquired Prometrix Corp., another manufacturer of thin-film measurement systems, for $48 million in stock. The two companies had been jointly developing the Surfscan Swift/Station data analysis system for two years. With 1993 revenues of $35.7 million and 200 employees, Prometrix added significantly to Tencor's 415 employees.

A market slump in 1996 led KLA and Tencor to reconsider merging in order to take advantage of streamlined management and shared development costs. At the time, Tencor had 1,400 employees and revenues of $403 million. KLA boasted 2,500 employees and around $600 million in revenues. Analysts saw the merger as a complementary joining, resulting in a complete line of yield management products and services for semiconductor manufacturers. Gunnar T. Miller at PaineWebber explained in Electronic News, "KLA provides high-end automated optical wafer inspection, reticle inspection and other yield learning-targeted tools, while Tencor has focused more on the lower-cost, high-throughput yield monitoring end of process diagnostics." The merger was completed in May 1997, resulting in the newly named KLA-Tencor Corp.

Further Expansion Around the New Millennium

Within a year, the company had produced a new line of products that combined KLA and Tencor's premerger research and equipment. KLA-Tencor also embarked on a spree of acquisitions. The first, in February 1998, was the purchase of Nanopro GmbH, a German-based developer of advanced interferometric technology used in chip measurement. The second, Amray, Inc., sold scanning electron microscopes. In June, KLA-Tencor acquired VARS, Inc., a manufacturer of image archiving and retrieval systems for semiconductor equipment. These acquisitions greatly expanded KLA-Tencor's portfolio of products and added to 1998 revenues of $1.2 billion.

In 1999 KLA-Tencor acquired the assets of the Ultrapointe subsidiary of Uniphase Corp., which made a product that analyzed defects on silicon wafers, and Acme Systems, Inc., a leading supplier of yield analysis software. The same year, leadership at KLA-Tencor was shifted, with Ken Schroeder, president and COO of KLA-Tencor taking over as CEO, and CEO Ken Levy assuming the chairmanship. In 2000, the company announced plans to move its headquarters from San Jose to Livermore, California, where it would construct a six-building complex.

By 2001, KLA-Tencor was the clear leader in the semiconductor test and measurement market, with fiscal 2001 revenues at $2.1 billion. A 40 percent increase over the previous year, KLA-Tencor's revenues reflected both the company's steady acquisition policy and strong product offerings. Those products, including 19 new metrology systems introduced in the previous year, reflected the company's continued commitment to research and development. KLA-Tencor managed to raise its net income by 74 percent in 2001, despite a downturn in the semiconductor industry, and seemed on track for continued growth and profitability.

Principal Subsidiaries: VLSI Standards, Inc.

Principal Competitors: Advantest Corp.; Teradyne, Inc.; Agilent Technologies, Inc.; Schlumberger Ltd.; LTX Corp.; GenRad, Inc.

Chronology

Additional Details

Further Reference

Boehlke, Robert J., "Motorola MOS-11 Installs KLA 2110 Wafer Inspection System," Business Wire, November 14, 1991."KLA Instruments Expects to Post Loss for 1992 Fiscal Year," Business Wire, July 9, 1992."KLA Reports Operating Results for Fourth-Quarter and Year," Business Wire, July 30, 1992."KLA-Tencor Acquires Assets of Uniphase Unit," Electronic News, January 11, 1999, p. 22."KLA-Tencor Buys VARS, Image Management Firm," Electronic News, June 29, 1998, p. 36."KLA-Tencor Merger Bears Combined Fruit," Electronic News, April 6, 1998, p. 34."KLA, Tencor to Say 'I Do,'" Electronic News, January 20, 1997, p. 1."KLA Unveils Yield Management System for In-Line Process Control," Business Wire, July 9, 1992.Lasnier, Guy, "KLA Readies Products for 'New Market Nobody Owns Yet,'" Business Journal-San Jose, September 7, 1987, Sec. 1, p. 3.Moran, Susan, "KLA Instrument Starts Santa Clara Clean Room Expansion," Business Journal-San Jose, July 11, 1988, Sec. 1, p. 3."Robert R. Anderson Retires As Vice Chairman of KLA Instruments Corp.," Business Wire, March 25, 1994.Savitz, Eric J., "Every Little Bit Helps; Rebound in the Offing for KLA Instruments," Barron's, September 24, 1993, pp. 18-19."Tencor Agrees to $48M Deal for Prometrix," Electronic News, January 3, 1994, p. 5."Top 10 Semiconductor Test and Measurement Companies," Electronic News, October 8, 2001, p. 26.

User Contributions:

Comment about this article, ask questions, or add new information about this topic: